Se encontró 1 coincidencia

por Bitwise
27 Jun 2021, 16:57
Foro: Verilog / SystemVerilog
Tema: División entera en Verilog
Respuestas: 1
Vistas: 6995

Re: División entera en Verilog

Hola "macleod_ideafix", Quería darles las gracias por el algoritmo de división entera. Para sintetizar circuitos utilizo un IDE gráfico llamado "Icestudio", en el que se puede diseñar pequeños módulos (éstos, a su vez también pueden contener otros módulos). Adapté su algoritmo (para Icestudio) para ...

Ir a búsqueda avanzada