USB Blaster para Xilinx

FPGAs, CPLDs, tolerancia a 5V, disponibilidad, plaquitas breakout que permitan prototipado rápido, consejos para usarlas en un diseño
benitoss
PLA
Mensajes: 43
Registrado: 07 Oct 2018, 04:53

Re: USB Blaster para Xilinx

Mensaje por benitoss » 07 Abr 2019, 06:08

OS recomiendo que os paseis por las siguiente paginas de este mismo foro donde se explica como programar una FPGA Xillinx con una USB-Blaster

Como programar la Pano Logic G1 con Urjtag y USB-Blaster desde Windows o Linux (SVF Files)
viewtopic.php?f=179&t=191

Como programar la Pano Logic G1 con OpenOCD y USB-Blaster desde Windows (BIT Files)
viewtopic.php?f=179&t=238

Saludos

Responder

Volver a “Chips”